数码管显示VHDL设计

从同学那里拷来的代码,VHDL设计数码管显示电路:

对比自己以前写的数码管显示的vhdl代码,发现自己简直弱爆了,没有一点整体设计思想,单纯的把电路图各个部件翻译成vhdl代码,然后在连接起来,而上面的代码是整体描述了数码管显示电路,其实数码管显示电路还是比较简单的,输入两组,输出两组。输入就是一个clk时钟信号,还有要显示的数据。输出就是一个段选和一个位选。
看来vhdl很重要啊,掌握了会比电路图简单。

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注