任意进制计数器VHDL设计

花了很长时间,走了很多弯路,终于完成了模405计数器的设计。
首先设计一个具有同步置数,异步清零,同步使能,进位输出的10进制计数器:
这里用了lpm定制,注意选择模10.
顶层设计:

开始使用了置数的方法,但是发现由于百位到9时才会有时钟上升沿,因此,到405后百位不会按预期置数,而是保持4,所以会看到这样的计数序列:403,404,405,400,401,402,403,404,405,400,401......
所以选择异步清零是不错的办法。

RTL调试:

RTL调试

RTL调试

仿真结果:

405计数器仿真

405计数器仿真

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注