序列检测器

感觉这个说的比较清楚:

序列检测器是时序数字电路中非常常见的设计之一。它的主要功能是:将一个指定的序列从数字码流中识别出来。接下来就以设计“01101”这个序列的检测器为例,说明Verilog HDL语言的具体应用。设X为数字码流输入,Z为检出标记输出,高电平表示“发现指定序列”,低电平表示“没有发现指定的序列”。设输入的码流为“001101101111011111...”,在时钟2~6中,码流X里出现指定序列“01101”,对应输出Z在第6个时钟变为高电平“1”,表示发现指定"01101”,Z输出“1”。同理在第9个时钟对应输出Z也为“1”。根据这个逻辑功能描述,我们可以分析得出状态转换图。

关于应用:

二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。该检测电路可广泛用于日常生产、生活及军事。例如,可以用在密码认证中,当输入密码与事先设定的密码一致时,认证成功。再如在军事领域,比较关心的是敌方通信中某些感兴趣的内容,而通信数据是海量且加密的,没有必要一一破译,只要破译部分关键词后,就可在侦听过程中,只检测这些关键词,一旦侦听到,立即启动记录仪,记录关键词时段的通信内容加以解密

引用自百度知道:http://zhidao.baidu.com/question/280092851.html

发表回复

您的电子邮箱地址不会被公开。 必填项已用*标注